凤凰彩票手机

  • <tr id='pgAJ5P'><strong id='pgAJ5P'></strong><small id='pgAJ5P'></small><button id='pgAJ5P'></button><li id='pgAJ5P'><noscript id='pgAJ5P'><big id='pgAJ5P'></big><dt id='pgAJ5P'></dt></noscript></li></tr><ol id='pgAJ5P'><option id='pgAJ5P'><table id='pgAJ5P'><blockquote id='pgAJ5P'><tbody id='pgAJ5P'></tbody></blockquote></table></option></ol><u id='pgAJ5P'></u><kbd id='pgAJ5P'><kbd id='pgAJ5P'></kbd></kbd>

    <code id='pgAJ5P'><strong id='pgAJ5P'></strong></code>

    <fieldset id='pgAJ5P'></fieldset>
          <span id='pgAJ5P'></span>

              <ins id='pgAJ5P'></ins>
              <acronym id='pgAJ5P'><em id='pgAJ5P'></em><td id='pgAJ5P'><div id='pgAJ5P'></div></td></acronym><address id='pgAJ5P'><big id='pgAJ5P'><big id='pgAJ5P'></big><legend id='pgAJ5P'></legend></big></address>

              <i id='pgAJ5P'><div id='pgAJ5P'><ins id='pgAJ5P'></ins></div></i>
              <i id='pgAJ5P'></i>
            1. <dl id='pgAJ5P'></dl>
              1. <blockquote id='pgAJ5P'><q id='pgAJ5P'><noscript id='pgAJ5P'></noscript><dt id='pgAJ5P'></dt></q></blockquote><noframes id='pgAJ5P'><i id='pgAJ5P'></i>

                上→海微电子28nm光刻机明年交付!意义何在?

                2020-11-14 15:20:00
                技术♂管理员
                原创
                1841

                    在经历了中兴事件之后,深深让国人感受到了中国在半导体芯片领域的薄弱,而此次美国升级对华为的制裁,更是让▼国人认识到了中国在芯片制造设备领域的薄弱。而对于芯片制造来说,最为关键的设备当属光刻机。

                    资料显示,光刻☆工艺是芯片制造过程中占用时间比最大的步骤,约占♀芯片制造总时长的40%-50%。同时,光刻机也是目前晶圆制造㊣ 产线中成本最高的半导体设备,约占晶圆生产线设备总成本的27%。

                    而在目前的ξ 光刻机市场,ASML、佳能以及尼康是最大的三家供应商,占据了全︾球99%的市场。其中ASML 在高端市场一家独大,且是全球唯一的EUV 光刻机★供应商。可以说,中国的中高端光刻机市场一直都是被这三家国外厂商所垄断。


                一、光『刻工艺复杂,设备技术壁垒高

                   光刻技术指利用】光学-化学反应原理,将电路图转移到晶圆表面的工艺技术,光刻机是光刻工¤序中的一种投影曝光系统。其包括光源、光学镜片、对准系统等。在制造过程中,通过投〓射光束,穿过掩膜板和光学镜片ぷ照射涂敷在基底上的光敏性光刻胶,经过︼显影后可以将电路图最终转移到硅◤晶圆上。


                光刻机分为无掩模光刻机╳和有掩模光刻机。

                (1)无掩模光刻机可分为电子束直写光刻机、离子束直写光刻机、激☉光直写光刻机。电子束直写光刻机可以用于高分辨率掩模版以及集成电路原型验证芯片等的制 造,激光直■写光刻机一般是№用于小批量特定芯片的制造。

                (2)有掩模光刻机分为接触/接近式光刻机和投影式光刻机。接触式光刻和接近式光刻机出现的时期较早,投□影光刻机技术更加先进,图形比例不需要为 1:1,减低了掩膜板制作成本,目前在先进←制程中广泛使用。

                随着曝光光源的∴改进,光刻机工艺技术节点不断缩小。光刻设备从光源(从最初的 g-Line, i-Line 发展到 EUV)、曝光方式(从接触式到步◣进式,从干式投影到浸没式投№影)不断进行着改进。



                    芯片尺寸的缩小以及性能的提升依赖于光刻技术的发展。光刻设备光源波长的进▓一步缩小将推动先进制程的发展,进而降低芯片功耗以及缩小芯片的尺寸。


                    目前光刻机主要可以分为 IC 前道制造光刻机(市场主流)、IC 后道先进封装光刻机、LED/MEMS/Power Devices 制造用光刻机以及面板光刻机。

                其中 IC 前道光刻机需求量和价值量都最高,但是技「术难度最大。而封装光刻机对于光刻的精度要求低于前道光刻要求,面板光刻机主※要用在薄膜晶体管制造中,与 IC 前道光刻机相比技术难度更低。

                以上光刻机市场规模大小和增速以及竞争格局和国产化程度是不同的,接→下来我们将分别进行分析。


                二、光刻机市↓场被国外厂商垄断

                1、IC 前道光刻机市场,ASML一家独大

                IC 前道光刻机技术最为复杂,光刻工艺是 IC 制造的核心环节也是占用时间比最大的步骤,光刻机是目前晶圆制造产线中成本最高的半导体设备。根据格罗方德的数据显∏示,光刻设备约占晶圆生产线设备成本 27%,光刻工艺占芯片制造时间 40%-50%。


                    光刻机是晶圆制造产线中的高投入型设备(数据来源:Global Foundries,国泰君安证券研究 )

                根据International Society for Optics and Photonics 以及 VLSI Research 研究发现,高精度 EUV 光刻机的使用将ζ使die 和 wafer 的成本进一步减小,但是设备本身成本也会增长。


                    利用高端光刻机实现◆的先进制程可以进一步降低芯片尺寸和成本,但是设●备成本会增长(数据来源:International Society for Optics and Photonics)

                    光刻设备量价齐升带动光刻设备市场不断↙增长。一方面,随着芯片制程的不断升⌒ 级,IC 前道光刻机价格不断攀升。

                目前最先∏进的 EUV 设备在2018 年单台平均售ω 价高达 1.04 亿欧元,较 2017 年单台平均售价增长4%。另一方面,晶圆尺寸变大和制程缩小将使产线所需的设备数量加大,性能要求∏变高。

                    12 寸晶圆产线中所需的光刻机数量相较于 8 寸晶圆产线将进一步上升。同时预计 2020 年随着半导体产线得到持续扩产,光刻机需求也』将进一步加大。


                    光刻机采购节奏是内资产线资∮本支出的关键信号。内资产线一般会优先采购价值量和技术▲难度最高的光刻机。从长江存储、华力微、华虹无锡、中芯绍兴以及株洲中车的光刻机采购情◤况来看,各产线 19Q4 至今光刻机合计采购量可观,预示其 2020 年内资产线资本支ㄨ出将进一步提升。

                    ASML、佳能以及尼康是全球光刻机市场的主要供应商,其中 ASML 在高端︾市场一家独大并且垄断 EUV 光刻机。从光刻机总体出货量来看(含非 IC 前道光刻机),目前全球光刻机出货↓量 99%集中在 ASML、尼康和佳能。其中ASML份额最高,达到67.3%,且垄断了高端 EUV 光刻机市场。


                     需要指出的是,ASML技术先进离不开高投」入,其研发费用率◥始终维持在 15%-20%,远高于Nikon 和 Canon。

                    ASML 在技术更先进的 EUV、ArFi、ArF 机型市场占有率不断提升,且远大于 Canon 和 Nikon。2017 年 ASML 上述三种机型出货量总计为101 台,市场份额占比为 78.29%,到 2018 年 ASML 出货量增长到 120台,市场份额①约 90% 。


                    数据显示,2018年,Canon 和 Nikon 在 EUV、ArFi、ArF 机型销售量远低于 ASML,二者产ぷ品主要集中价值量更低的后道光刻机以及面板光刻机领域。

                    IC 前道制造光刻机国产化严重不足。目前产线中光刻机主要依赖▆于进口,以国内产线长江存储为例,其光刻机全部来自于 ASML 和佳能。其中 Arf 光刻机☉全部由 ASML 供应,佳能主》要供应技术难度相对较低的 g线、i 线光刻机及少部分 KrF 光刻机。


                2、封装光刻机及LED/MEMS/功率器件光刻机市场发展迅速

                    除了应△用于 IC 前道∩的光刻机之外,封装光刻机以及 LED/MEMS/功率器件光刻机◇利基市场也不断发展。

                从需求量来ㄨ看,先进封装光刻机市场需求更大且增速最高,是利基市场的主要拉动力量。根据 Yole,2015-2020 年先进↑封装、MEMS 以及 LED 光刻机出货量将持续增长,预计到 2020 年总需求量将@ 超过 250 台/年。2015年到 2020 年先进封装光刻设备出货量年复合增长率达到 15%。MEMS光刻机需求量复合增速约 9%左右。

                目前该市场中竞争者数目多于 IC 前道光刻机市场,光刻〖机三大巨头之一的尼康的光刻机业务也开始向利基市场进行转移。


                3、面板光刻机市≡场:尼康、佳能垄断

                    光刻机还可以用于面板(FPD)领域,国内 FPD 产业处于高速发展阶段,市◆场发展空间巨大。随着国内 FPD 生产线的建设和陆续投产及下游电子设备应用多元化发展,我国 FPD 产业步入快速发展∩时期,产能『持续增长。

                据商务部数据显示,2013 年国内 FPD 产能仅为 22 百万平方米,而 2017 年国∑ 内产能迅速增长到 96 百万平方米,预计 2020 年我国 FPD产能将达到 194 百万平方米,2013-2020 年复合增长率达 36.48%,FPD市¤场保持高速增长,发展空间巨大。

                    国内 FPD 产□ 能全球占比持续提升。在 FPD 产业逐渐向中国大陆转移☆和中国大陆以京东方为首的 FPD 厂商投资力度加大的双重作用下,国内FPD 产能全球占比持续提升。据商务部数据显示,2013 年国内 FPD 产能全球占比仅为 13.9%,2017 年国内 FPD 产能全球占比卐上升至 34%,中国跃升为全球第二大 FPD 供应区,预计 2020 年国内 FPD 产能全球占比将提高至 52%,届时中国将①成为全球最大的 FPD 生产基地。


                    尼康、佳能 FPD 光刻技术优势明显,基本垄断了 FPD 光刻机市场,其中尼康份额〖最高。自 1986 年尼康在 FPD 制造领域推出▂ NSR-L7501G 以来,尼康开发并销售了大量的 FPD 光刻系统,尼康不仅是大型 FPDs 光刻系统的领导者,而且还为智能手机和面板电脑生产中小型高清 FPDs提供理想的型号。

                    而佳能 FPD 光刻技术♀也具有突出优势。由于弧形的←成像范围使得获得最佳成像特性成为可能,佳能的设备可以扫描弧形的曝光区域,从而在大面积范围内获得高分辨【率的性能;

                通过同⊙时使用 AS 和 OAS 方法来观察╲失真,佳能的混合对准系统可以进一步提高检测时间□和更精确的测量※;为了解决之◥前曝光过程中产生的模式失真,佳能的高精度速度平台对扫描速度和方向进行了微调,在曝ぷ光过程中修正光刻板上的掩模图形;

                利用非线性失◥真校正技术结合扫描校正机制,可以处理衬底上各种形状的变形,并更准确地将其与掩模上的图案对齐。


                    而佳能 FPD 光刻技术也具有突出优势。由于弧形的成像范围使▲得获得最佳成像特性成为可能,佳能的设备可以扫描弧形的曝光区域,从而在大面积范围内获得高分辨率的性能;

                通过同时々使用 AS 和 OAS 方法来观察失真,佳能的混合对准系统可以进一步提高检测时间和更精确的测量;为了解决之前曝光过程中产生的模式失真,佳能的高精度速度平台对扫描速度和方向进行了微调,在曝光过程中修正光」刻板上的掩模图形;

                利用非线性失真校正技术结合扫描校正机制,可以处理衬底上各种形状的变形,并更准确地将其与掩模上的图案对齐。


                三、国产光刻机与国外技术◤差距较大,但部分领域已实现突破≡

                    虽然,中国也有自己的国产光刻机厂商——上海微电子装备股份有限公司(SMEE),但是其在技术上与国外↓还存在较大差距。

                    上海微电子成立于2002年,主要从事半导体装备、泛半导体装备以及高端智能装备的设计制造■销售,其中光刻设备是公司的主营业务。

                    目前公司光刻机可以应用于集成电路产业链中晶圆制造、封装测试,以及平板〗显示、高亮度 LED 等领域。目前上海微电子直接持有各类专√利及专利申请超过2400项。

                    据上海微电子官网介绍,其主ω要生产 SSX600 和 SSX500 两个系列的光刻机。

                其中,SSX600 系列步进扫描投影光刻机采用四倍缩小倍率的投影物镜、工艺自适〗应调焦调平技术,以及高速高精的自减◢振六自由度工件台掩模台技术,可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关键层的光刻工艺需求,该设备可用于 8吋线或 12 吋线的大规模工业生产。

                    SSB500 系列步进投影光刻机不仅适用于晶圆级封装的重新布线(RDL)以及 Flip Chip 工艺中常用的金凸块、焊料凸块、铜柱等╳先进封装光刻工艺,还可以通过选配背面对准模块,满足 MEMS 和 2.5D/3D 封装的 TSV 光刻工艺需求。



                    在技术上,上海■微电子的IC前道光刻机与国际先ξ进水平差距仍较大。上海微电子装备有限公司已量产的光刻机中性能最好的最高可实现 90nm 制程节点,ASML 的 EUV 3400B 制程节点可达◆到 5nm。这也使得在IC前道光刻机市场,国产化率较◎低,国内的IC前道光刻机市场主要被ASML、尼康♂和佳能瓜分。

                不过,在对光刻精度要求较低的封装光刻机、 LED/MEMS/功率器件光刻机、面板光刻机市场,上海微电子则取得了不错的成绩。

                    目前上海微电子封装光刻机已实现批量供货,成为长电¤科技、日月光、通富微电等封测龙头的重要供应商,并出口海外市∏场,在国内市场占有率高达 80%,全球市场占有率达 40%。同时公司 300 系列光刻机♂可以满足 HB-LED、MEMS 和 Power Devices 等领域单双面光刻工艺需求,占有率达到 20%左右。

                在面板光『刻机市场,上海微电子也已经实现▲首台 4.5 代 TFT 投影∞光刻机进入用户生产线。不过,目前市场主流都是6 代及 6 代以上的产线。要想打破日本尼康和佳能所垄断的 FPD 光刻机市场格↘局,仍需要时间。

                四、国产11nm光刻机将于年底下线?

                近日,网上有传闻称上▂海微电子预计在2020年12月下线首台采用ArF光源的可生产11nm芯片的SSA800/10W光刻机。

                据网█友爆料称,SSA800/10W光刻机采用NA 1.35透镜组,并搭载超精密磁∑悬浮双工件台和超纯水浸入系统。同时采用华卓精科工作台的套刻精度@指标优于1.7nm。该光刻机采用深「紫外光波长193nm,通过透镜成像,以及折射原理单次可▼达到28nm曝光效果,然后再利用双工々作台进行多次曝光原理,有生产7nm制程的潜力。同时,该网友还贴出了上海微电子的双工件台曝光系统的操作控制界面。



                根据消息显示,该光刻机的光源←激光系统由科溢虹源(中科院微电子所、中科院光电所等组成)研发;?没式ξ 双工作台由华卓精科研发;?液系统则由浙江启尔机电研发,最高支持11nm制程;透镜及曝光系统则由国望光学(长春光机←所、上海光机所等组成)研发,而上●海微电子则负责控制系统和总装。

                (注:关于华卓精科的双工台介绍可参看芯智讯此前文章《打破ASML光刻机双工台技术♀垄断!华卓精科拟登陆科创板》)

                另外值得一提的是,目前中微半导体已成功推出用于5nm制程蚀刻◆机,而承担“ArF 光刻胶(波长为193nm的ArF激光光源)产品的开发和产业化”02 专项项目的南大光电也已研发出了ArF 光刻胶,此外在光刻工序涂胶显影设备上沈阳∞芯源微电子的涂胶/显影机、喷胶机、清洗机、去胶机、湿法刻蚀机等,也可用于 6 英寸及以下及 8/12 英寸单晶圆处理。可以说,在芯片制造相关的多个︼关键环节,国内已经实现了一定的突破。

                不过,对于上海微电子11nm光刻机将于╳年底下线传闻,目前并未有相关的信息☆进行印证。芯智讯在上海微电子的官网上并未看到相关新闻,同时官网上展示的最先进的光刻机仍是上∮海微电子的600系列光刻机,只能支持90nm技术节点的光刻工艺。

                此外,芯√智讯也并未在网上找到相关证据,支持这个11nm光刻机将于年底下线ζ的说法。同时,上海微电子的光刻机产品一下子从90nm直接跨越到11nm,这个技术跨度也确实有点大,真实性可能不大。

                五、国产28nm光刻机明年交付,意义何在?

                而近期业内传出的上海微电子将于2021年交付28nm光刻机的消息则似乎更为靠谱。

                芯智讯通过▃查询相关资料也发现,徐州经开№区官网于今年4月16日发布的一则题为《徐州经开区科益虹源集成电路光刻★制造及服↘务基地项目开工》的文章当中有♂提及,科益虹源目前正承担“02重大专项浸没光刻光源研发”、“02重大专项核心零部件国产化能力╱建设”、“02重大专项集成电路晶圆缺陷检测光源”等国家专项。

                到2020年产品将与整机单位■共同完成28nm国产光刻机的集成工作,对我国集成电路产业发展具有重大意义。


                这里需要指出的是,北京科益虹源光电是中国唯一、世界第三家具备高端准分子激光技Ψ 术研究和产品化的公司,同时也正是上海微电子的光刻机的光源系统的供应商。也就是说,通过上面的这则新闻↙,我们基本可以确认,今年科益虹源承担的“02重大专项”研发的浸没光刻光源就≡将与上海微电子共同完成28nm国产光刻机的集成。

                所以,可以确认,2021年上海微电子就将完成28nm国产光刻机的交付。

                虽然,这个28nm光刻机与ASML目前最先进的5nm EUV光刻机相比,仍有着很大的差距,但是对于中△国的半导体产业以及上海微电子自身来说都是意义非凡,而且通过多次曝光,甚至可支持7nm芯片。

                随着摩尔定律的推进,制程工艺的难度和生〓产的工序都大幅增加,同时成本也大幅增加,特别是进入28nm以下制程↘之后的较长一段时间,20nm和16/14nm制程的成本一度高于28nm,这是摩尔定律运行60多年来首次遇到制程缩小但成本不降反升的问题⊙。这也使得28nm一度作为ζ 最具性价比的制程工艺长期活跃于市场█。

                即便是随着20/16/14nm成本〓的降低,28nm工艺也依然占据了【很大的市场,特别是在格芯、联电放弃10nm以下先进制程之后,开始将更多的精力放在的成熟@制程上,不少晶圆厂还基于28nm推出了在低功耗、防辐射、低软错误率、耐高温和EMC、车载可靠性上更具优势的FD-SOI工艺,使其更◇适合物联网(IoT)在成本、功耗和性能方面的要求。

                虽然目前手机芯片即将进入5nm制程工艺,但是在物々联网、工业、新型存储等众多市场,28nm仍是比较主流的制程工艺节点。而且28nm以下的40/45/65nm也有着不小@ 的市场。

                从全球第一大晶圆代工厂台积电的2020年第一季度的营收︻占比来看,目前28nm占比仍有14%。而其28/40/45/65nm的总体占比更是高达30%。


                显然,对于上海微电子来说,其28nm光刻机的顺↑利推出,将打破〖国外厂商的对于IC前端光刻机市场的垄断,可覆盖更为广阔的市场需求,特别是在国产◣替代趋势之下,将有望◣大幅提升其光刻机的出货量、营收和利润率。同时,也将帮助国内的晶圆代工厂降低对于国外半导体设备的依赖,进一步提升半导体制造关键设※备的国产化率,提升中国半导体产业链的整体实力。




                发表评论
                评论☆通过审核后显示。